photo

Conglin Pan


Last seen: meer dan 2 jaar ago Active since 2022

Followers: 0   Following: 0

Statistics

Feeds

View by

Question


Dose HDL coder generate Verilog HDL-1995 verision or Verilog HDL-2001 version?
When I use HDL advisor, the app will generate Verilog HDL code from matlab function, so is it Verilog HDL-1995 verision or Veril...

meer dan 2 jaar ago | 1 answer | 0

1

answer