Why do I get the error message "Run 'impl_1' has not been launched. Unable to open" when using HDL Coder, HDL Verifier or SoC Blockset?

35 views (last 30 days)
When I try to run "HDL Workflow Advisor", "SoC Builder", or the "Verify Setup" test during the "HDL Verifier Support Package for Xilinx FPGA boards" hardware setup, the programming file generation fails for my Xilinx board. The following error is shown in MATLAB, or in the Vivado build log (vivado_build_prj.log):
ERROR: [Common 17-69] Command failed: Run 'impl_1' has not been launched. Unable to open

Accepted Answer

MathWorks Support Team
MathWorks Support Team on 17 Nov 2022
Edited: MathWorks Support Team on 17 Nov 2022
To find out the root cause for this error, open the Vivado Project File (.XPR) in Vivado IDE by double-clicking on it. The .XPR file can be found in locations similar to:
\hdl_prj\vivado_ip_prj\vivado_prj.xpr
\soc_prj\vivado_prj.xpr
In Vivado IDE, go to the "Messages" tab to see the exact error message that prevented Vivado from running the synthesis:
A common error message that you may see is the following:
[Common 17-345] A valid license was not found for feature 'Synthesis' and/or
device 'xc7z045'.
Please run the Vivado License Manager for assistance in determining which
features and devices are licensed for your system.
This means that your Vivado installation does not have the appropriate license to run the synthesis for your targeted device.
Please note that the free Vivado WebPACK edition (since 2021 called "Vivado ML Standard") only supports low-cost devices, such as the 'xc7z020' Zynq device used on the Zedboard or Xilinx ZC702 evaluation board. To target high-cost boards like Xilinx ZC706, Xilinx ZCU102 MPSoC, or Xilinx ZCU216 RFSoC, you will need an appropriate Vivado enterprise license.
A list of all FPGA devices supported by Vivado WebPACK can be found in the "Architecture Support" section of the Vivado Design Suite Release Notes. For the most recent version, the list can be found here:
To resolve this, contact your company's license administrator to get an appropriate Xilinx Vivado license for your FPGA device.

More Answers (0)

Products


Release

R2019a

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!